Summary

  • KLA Corporation is a supplier of equipment and services that enables innovation throughout the electronics industry.
  • The company provides solutions for manufacturing wafer, reticles, integrated circuits, packaged ICs, printed circuit boards, and flat panel displays.
  • Recently KLA Launched Revolutionary X-Ray Metrology System

KLAC0.png

KLA Corporation (Nasdaq: KLAC, LSE: 0JPO) and its majority-owned subsidiaries is a supplier of industry leading equipment and services that enables innovation throughout the electronics industry. The company provide advanced process control and process-enabling solutions for manufacturing wafers, reticles, chemicals/materials, integrated circuits, packaged ICs, printed circuit boards, and flat panel displays, as well as comprehensive support and services across its installed base.

KLAC1.jpg

Recent Developments

KLA Launches Revolutionary X-Ray Metrology System1

December 06, 2022; KLA Corporation announced the launch of the revolutionary Axion® T2000 X-ray metrology system for advanced memory chip manufacturers. Fabrication of 3D NAND and DRAM chips involves precise formation of extremely tall structures with deep, narrow holes and trenches, and other intricate architectural shapes – all requiring control at the nanoscale level. The Axion T2000 features patented technologies that power its ability to measure high aspect ratio device features with an unprecedented combination of resolution, accuracy, precision and speed. By discovering the small shape anomalies that can impact memory chip performance, the Axion T2000 helps ensure successful production of the memory chips used in applications such as 5G, artificial intelligence (AI), data centers and edge computing.

The Axion T2000 is a CD-SAXS (critical-dimension small angle X-ray scattering) system, leveraging industry-unique X-ray technologies to produce high-resolution measurements of critical dimensions and 3D shapes of memory device features. A high flux source provides X-rays that transmit through the entire vertical memory structure, regardless of how tall it is today or will be in the future, enabling measurement of complex device shapes. A stage with industry-leading dynamic range helps to obtain diffraction images at multiple angles to render rich 3D geometrical information. Novel AcuShape® algorithms facilitate measurement of many critical device parameters and extraction of subtle variations that can affect final memory chip functionality. With these innovations, the Axion T2000 non-destructively produces the dimensional metrology data needed to help memory manufacturers optimize, monitor and control key process steps inline.

KLA to Build a New R&D and Manufacturing Facility in Newport, Wales2

September 29, 2022; KLA Corporation has announced plans to build a new research-and-development (R&D) and manufacturing center for the SPTS division, in Newport, Wales, UK. The new development designed to meet BREEAM standard of sustainability rating of excellent is expected to include a capital investment of more than $100 million and create a 200,000 square foot facility. The new state-of-the-art innovation center and manufacturing facility will include offices, cleanrooms, storage and support facilities and accommodate up to 750 employees.

Adopting the globally recognized science-based sustainable and energy efficient design standards, the new facility will be designed to achieve a BREEAM Excellent rating, reflecting KLA's commitment to its environmental goals. The new innovation center will provide over 25,000 square feet of cleanrooms for R&D and more than 35,000 square feet of bespoke manufacturing assembly halls.

KLAC2.jpg

Financial Highlights

Sept. 30, 2022, Results

October 26, 2022, KLA Corporation Reports Fiscal 2023 First Quarter Results3

KLA Corporation announced financial and operating results for its first quarter of fiscal year 2023, which ended on Sept. 30, 2022, and reported GAAP net income attributable to KLA of $1.03 billion and GAAP earnings per diluted share attributable to KLA of $7.20 on revenue of $2.72 billion.

Total revenues were $2.72 billion, GAAP diluted EPS attributable to KLA was $7.20 and non-GAAP diluted EPS attributable to KLA was $7.06, each finishing above the respective guidance ranges;

Cash flow from operating activities for the quarter and 12 months ended Sept. 30, 2022, were $1.01 billion and $3.46 billion, respectively; and

Capital returns for the quarter and 12 months ended Sept. 30, 2022, were $277.8 million and $5.22 billion, respectively

Full Year Results

July 28, 2022; KLA Corporation Reports Fiscal 2022 Fourth Quarter And Full Year Results4

KLA Corporation announced operating results for its fourth quarter and fiscal year ended June 30, 2022. KLA reported GAAP net income attributable to KLA of $805.4 million and GAAP diluted earnings per share attributable to KLA of $5.40 on total revenues of $2.49 billion for the fourth quarter of fiscal year 2022. For the fiscal year ended June 30, 2022, KLA reported GAAP net income attributable to KLA of $3.32 billion and GAAP diluted EPS attributable to KLA of $21.92 on total revenues of $9.21 billion.

For the quarter, total revenues were $2.49 billion, GAAP diluted EPS attributable to KLA was $5.40 and non-GAAP diluted EPS attributable to KLA was $5.81, each finishing above the mid-point of guidance ranges.

Cash flow from operations for the quarter and fiscal year was $819.2 million and $3.31 billion and free cash flow was $746.1 million and $3.01 billion, respectively; and

Capital returns for the quarter and fiscal year were $3.63 billion and $5.51 billion, respectively.

KLAC3.jpg

Company Overview

KLA was formed as KLA-Tencor in April 1997 through the merger of KLA Instruments Corporation and Tencor Instruments, two long-time leaders in the semiconductor capital equipment industry that began operations in 1975 and 1976, respectively. On February 20, 2019, KLA completed the acquisition of Orbotech, Ltd, a global supplier of yield-enhancing and process-enabling solutions for the manufacture of electronics products, to target growth opportunities in new and expanding end markets. KLA Corp is organized into four reportable segments: Semiconductor Process Control; Specialty Semiconductor Process; PCB, Display and Component Inspection; and Other.

Products and solution

Products

The company's process-control and process-enabling solutions are designed for electronics industry.5

  • Substrate Manufacturing
  • Chip Manufacturing
  • Reticle Manufacturing
  • Packaging Manufacturing
  • Compound Semi | Mems | Hdd Manufacturing
  • Kla Services
  • Kla Instruments
  • Certified & Remanufactured
  • Printed Circuit Board
  • Flat Panel Display
  • Wafer Handling Diagnostic Solutions
  • Chemistry Process Control

Solutions

Automotive

Navigation, infotainment, driver assistance, autonomous driving, flying cars! The company's solutions revolutionize quality control for the ICs that power the future of automotive.

Artificial Intelligence

AI helps make sense of big data! The company's solutions use AI to accelerate production of the very ICs that will power the next generation of AI innovation.

Internet of Things

The Internet of Things is expanding quickly, and the demand for smart devices is growing with it! The company's solutions drive increasing production to match it.

5G Technology

5G's ultra-reliable low latency communication delivers higher data speeds and bandwidth to its mobile devices. The company's solutions ensure these devices meet high quality and reliability standards.

Locations

The company has Global footprint.6

The company's headquarters are located in Milpitas, California. As of June 30, 2022, the company owned or leased a total of approximately 4 million square feet of space for research, engineering, marketing, service, sales and administration worldwide primarily in U.S., Israel, Singapore, Germany, China and Taiwan.

International SitesNorth America
BelgiumArizona
Mainland ChinaCalifornia
DenmarkIdaho
FranceMassachusetts
GermanyMichigan
IndiaNew Mexico
IrelandNew York
IsraelOregon
ItalyTexas
JapanTennessee
MalaysiaVermont
Northern IrelandVirginia
SingaporeWashington
South Korea 
Taiwan 
United Kingdom 

References

  1. ^ https://ir.kla.com/news-events/press-releases/detail/447/kla-launches-revolutionary-x-ray-metrology-system
  2. ^ https://ir.kla.com/news-events/press-releases/detail/440/kla-announces-plans-to-build-a-new-rd-and-manufacturing
  3. ^ https://ir.kla.com/news-events/press-releases/detail/443/kla-corporation-reports-fiscal-2023-first-quarter-results
  4. ^ https://ir.kla.com/news-events/press-releases/detail/436/kla-corporation-reports-fiscal-2022-fourth-quarter-and-full
  5. ^ https://www.kla.com/products
  6. ^ https://www.kla.com/locations
Tags: US:KLAC USA
Created by Asif Farooqui on 2023/01/06 10:02
     
This site is funded and maintained by Fintel.io